How to use a While-Loop in VHDL

Comments