How to use Loop and Exit in VHDL

entity TO1_HelloWorldTb is

end entity; 

architecture sim of TO1_HelloWorldTb is begin

process is 

begin

report "Hello!";

loop 

report "Peekaboo!";

exit; (to exit the loop)

end loop;

report "Goodbye!";

wait; 

end process;

end architecture; 

Comments