How to use a For-Loop in VHDL

entity TO1_HelloWorldTb is
end entity;

architecture sim of TO1_HelloWorldTb is
begin

process is
begin

for i is 1 to 10 loop
report "i=" & integer 'image(i);
end loop;
wait;



end process;

end architecture;

Comments